首页 > 宏观 > 正文

​DMA控制器是一种什么设计?DMA既可以指内存和外设直接存取?

2023-05-31 09:59:51来源:创视网

​DMA控制器是一种什么设计?DMA(Direct Memory Access)控制器是一种在系统内部转移数据的独特外设,可...

​DMA控制器是一种什么设计?

DMA(Direct Memory Access)控制器是一种在系统内部转移数据的独特外设,可以将其视为一种能够通过一组专用总线将内部和外部存储器与每个具有DMA能力的外设连接起来的控制器。它之所以属于外设,是因为它是在处理器的编程控制下来 执行传输的。

DMA既可以指内存和外设直接存取?

DMA既可以指内存和外设直接存取数据这种内存访问的计算机技术,又可以指实现该技术的硬件模块(对于通用计算机PC而言,DMA控制逻辑由CPU和DMA控制接口逻辑芯片共同组成,嵌入式系统的DMA控制器内建在处理器芯片内部,一般称为DMA控制器,DMAC)。

值得注意的是,通常只有数据流量较大(kBps或者更高)的外设才需要支持DMA能力,这些应用方面典型的例子包括视频、音频和网络接口

关键词: ​DMA控制器 都是一种 什么设计 DMA

责任编辑:hnmd004